Применение новых семейств FPGA Virtex-6 и Spartan-6 фирмы Xilinx


PDF версия

В статье рассматриваются вопросы применения новых семейств ПЛИС компании Xilinx.


Введение

Выпуск новой элементной базы при появлении следующего поколения технологических процессов является ожидаемым событием для микроэлектронной отрасли. В таком направления как проектирование цифровых систем на базе микросхем программируемой логики разработчики традиционно ожидают не только количественных, но и качественных улучшений, связанных с появлением новых функциональных возможностей. Дополнительно к этому появляются и новые подходы к проектированию, а также новые области применения, возникающие в результате добавления новых компонентов на программируемый кристалл.

Технические характеристики

Семейства ПЛИС с архитектурой FPGA Virtex-6 и Spartan-6 выпускаются по технологическим процессам с нормами 40 и 45 нм, соответственно. Использование современных технологических процессов позволяет рассчитывать на достижение высоких технико-экономических показателей, поскольку уже привычным стало то, что переход к следующему поколению технологических процессов вызывает снижение стоимости и повышение производительности цифровых микросхем. Все это наблюдается и в новых FPGA Xilinx, которые продолжают направления, обозначенные сериями Virtex и Spartan, где Virtex представляют собой высокопроизводительные ПЛИС, вбирающие в себя последние достижения цифровой электроники и микроэлектронных технологий, а Spartan являются недорогими микросхемами, предназначенными для серийного выпуска электронных устройств. Размещение компонентов на кристаллах Virtex-6 и Spartan-6 показано на рисунке 1.

Рис. 1. Организация ПЛИС семейств Virtex-6 и Spartan-6

Видно, что ПЛИС Virtex-6 выполнена по «колоночной» архитектуре ASMBL (Advanced Silicon Modular Blocks), как и предыдущие семейства Virtex, начиная с Virtex-4. Такая архитектура подразумевает выполнение микросхемы в виде вертикальных блоков с компонентами различных типов. Поскольку блоки разрабатываются отдельно, при необходимости из них можно относительно просто составить микросхему с требуемым соотношением ресурсов. Такие подсемейства ПЛИС с разным соотношением основных блоков в терминологии Xilinx носят название платформ.
Цветом выделены отдельные компоненты ПЛИС. Кроме программируемых логических ячеек, современные FPGA Xilinx имеют блоки следующих типов:
– блочная память;
– устройства «умножение с накоплением» XtremeDSP;
– модули формирования тактового сигнала;
– скоростные последовательные приемопередатчики MGT;
– аппаратные блоки Ethernet MAC и PCI Express endpoint;
– аппаратные контроллеры памяти DDR2/DDR3 (только FPGA Spartan-6).
Главным отличием нового поколения FPGA является изменение архитектуры логической ячейки, что проиллюстрировано на рисунке 2.

Рис. 2. Архитектура новой логической ячейки в семействах Virtex-6 и Spartan-6

Ранее уже был отмечен переход от долгое время считавшейся стандартом 4-входовой таблицы истинности (Look-Up Table, LUT) к 6-входовой. Такая таблица впервые появилась в ПЛИС Virtex-5, и ее появление привело к позитивному эффекту в виде сокращения числа логических ячеек, требуемых для реализации основных цифровых узлов. Таким образом, кроме чисто количественного роста производительности и объема, вызванного переходом к 65-нм технологическому процессу в Virtex-5, разработчики получили и более мощный логический генератор.
В то же время было замечено, что большое число существующих компонентов и готовых решений (IP-ядер) не получает выигрыша от нового логического генератора, т.к. они построены с ориентацией на старую, 4-входовую таблицу истинности. Ввиду этого в Virtex-6 была улучшена архитектура за счет второго триггера, как показано на рисунке 2. Дополнительно, логический генератор может выступать не только как 6-входовая таблица истинности, но и как две 5-входовые, что расширяет функциональные возможности ячейки.
Весьма интересным шагом стал также переход к точно такой же логической ячейке и в дешевом семействе Spartan-6. Это позволяет разработчикам проводить исследования на полнофункциональной ПЛИС Virtex-6, которая в минимальной степени ограничивает их действия, а затем при необходимости существенно снижать стоимость готовой продукции, перенося полученные решения в более дешевые Spartan-6.
ПЛИС Spartan-6 получили еще один тип устройств, ранее присутствовавший только в более дорогих Virtex — аппаратные скоростные приемопередатчики (MGT, Multi-Gigabit Transceivers). Эти модули могут являться основной для реализации таких интерфейсов как Gigabit Ethernet, PCI Express, Serial ATA и др.
В настоящее время выпускаются следующие платформы:
Virtex-6 LX/LXT — платформа с большим объемом логики;
Virtex-6 SXT — платформа, предназначенная для цифровой обработки сигналов;
Virtex-6 HXT — платформа, предназначенная для построения скоростных телекоммуникационных устройств на основе приемопередатчиков GTH с пропускной способностью до 11,2 Гбит/с;
Virtex-6 CXT — платформа для замены LXT в системах с пониженной стоимостью и производительностью;
Spartan-6 LX — недорогое семейство, предназначенное для реализации устройств преимущественно с помощью логических ячеек;
Spartan-6 LXT — семейство с высокоскоростными последовательными приемопередатчиками GTP (до 3,25 Гбит/с).
В таблице 1 представлены основные характеристики платформ ПЛИС Virtex-6, а в таблице 2 — ПЛИС Spartan-6.

Таблица 1. Основные характеристики платформ ПЛИС Virtex-6

Платформа Virtex-6

LX/LXT

SXT

нхт

схт

Логических ячеек, тыс.

75—760

315—475

250—565

75—240

Блочной памяти, Мбит

5,6—25,9

25,3—38,3

18,1—32,8

5,6—15

Блоков XtremeDSP

288—864

1344—2016

576—864

288—768

Приемопередатчиков

12—36

24—36

48—72

12—24

 

Таблица 2. Основные характеристики платформ ПЛИС Spartan-6

Платформа Spartan-6

LX

LXT

Логических ячеек, тыс.

4—150

25—150

Блочной памяти, Мбит

0,2—4,8

0,9—4,8

Блоков XtremeDSP

8—180

38—80

Приемопередатчиков

2—8

В части последовательных интерфейсов можно отметить два события: анонс платформы Virtex-6 HXT с приемопередатчиками GTH, способными работать на скоростях до 11,2 Гбит/с, и появление платформы Spartan-6 LXT. Последнее событие дает богатый материал для переосмысления роли ПЛИС в практике конструирования, поскольку появляется реальная возможность создания недорогих устройств, содержащих такие интерфейсы как Gigabit Ethernet, SATA и PCI Express, создаваемые на базе гигабитных приемопередатчиков. На рисунке 3 можно видеть, что Spartan-6 содержат блоки GTP, как в ранних платформах семейства Virtex-5, а в Virtex-6 установлены более скоростные блоки GTX.

Рис. 3. Разновидности высокоскоростных последовательных интерфейсов в семействах FPGA Xilinx
Преимущественные области применения

Из-за объективных особенностей ПЛИС имеют меньшую производительность и более высокую стоимость, чем специализированные микросхемы (ASIC/ASSP). Поэтому области их применения должны быть такими, чтобы данные особенности не оказывали существенного влияния на общие характеристики изделия. Традиционно к проектам, ориентированным на использование ПЛИС, относятся макеты разрабатываемых ASIC/ASSP, однако в последнее время проявляется еще одна тенденция, связанная с повышением стоимости разработки специализированных микросхем. Дело в том, что стоимость подготовки производства микросхемы с технологическими нормами 90 нм и менее превышает 1 млн долл., поэтому в микроэлектронной промышленности наблюдается целый ряд решений, призванных уменьшить финансовые затраты и риски при выпуске такой дорогостоящей в освоении продукции. В данном случае ПЛИС, являясь неограниченно перепрограммируемыми, предлагают такие полезные свойства как коррекция схемы изделия без замены аппаратной платформы, широкие возможности для распараллеливания вычислений и скоростной коммуникации (за счет большого количества соответствующих аппаратных ядер), возможность выпуска изделий, которые из-за ограниченного объема, востребованного на рынке, не оправдывают разработку специализированной микросхемы. Последнее свойство является тем более актуальным, что, по различным оценкам, нижняя граница объема выпускаемых изделий для возврата вложенных средств находится на уровне 50—300 тыс. микросхем. Такой тираж характерен не для всех областей применения электроники.
Можно упомянуть следующие области применения, которые рассматриваются компанией Xilinx в качестве приоритетных. Для этих применений имеются готовые технические решения (IP-ядра), методические рекомендации и готовые проекты.
– Автомобильная электроника (системы видеонаблюдения, парковки, отслеживания дорожной разметки и знаков, системы ночного видения, автомобильные мультимедийные устройства).
– Аудио- и видеоаппаратура (видеоинтерфейсы DVI, HDMI, Display Port, LVDS). Преимуществом новых семейств являются аппаратные сериализаторы, которые требуются для современных интерфейсов, использующих высокоскоростную последовательную передачу данных. Интересно отметить появление аппаратных сериализаторов в FPGA семейства Spartan-6, которое принадлежит к недорогим ПЛИС, с традиционными ограничениями по функциональности.
– Бытовая электроника (ЖК-дисплеи и плазменные панели).
– Обработка и хранение данных (серверы для хранения данных, коммутационные устройства и мосты на основе высокоскоростных приемопередатчиков).
– Промышленная и медицинская автоматика (управление двигателями, системы сбора данных, обработка изображений на основе параллельной обработки сигналов блоками XtremeDSP).
– Проводные коммуникации представлены широким спектром систем, основанных на аппаратных модулях MGT, куда входят системы как уровня платы (Aurora, HyperTransport), так и сети уровня Ethernet или Sonet.
– Беспроводные коммуникации также представлены широким спектром решений, из которых следует отметить различные модификации программно-зависимого радио (SDR).
Перечисленными направлениями не исчерпываются области применения ПЛИС, однако они дают основу для представления о том, в каких конкретно сферах возможно применение данной элементной базы. Можно упомянуть, что, в отличие от серийно выпускаемой электроники, системы на базе ПЛИС характеризуются высоким уровнем инженерного труда, поскольку конкурировать с серийно выпускаемой элементной базой они могут только за счет предоставления качественно иных функциональных возможностей. Именно на возможность реализации эксклюзивных функций на базе наукоемких технических решений и следует ориентироваться при использовании данной элементной базы.
В дискуссионном порядке можно высказать мнение, что в текущей ситуации на российском рынке именно системы на базе ПЛИС могут быть эффективным способом выхода на мировой уровень разработок для небольших организаций. В пользу этого утверждения говорит то, что при использовании ПЛИС высокая стоимость элементной базы обусловливает поиск областей применения, где при невысоких тиражах большую роль начинает играть собственно суть проекта и его технические возможности. При этом конкуренция производителей элементной базы отходит на второй план, превращаясь в конкуренцию коллективов разработчиков. В этом случае отсутствие собственного производства играет меньшую роль, т.к. для выпуска конкурентоспособного изделия на базе ПЛИС можно ограничиться разработкой конфигурации для готовой микросхемы, выполненной в инженерном центре и не требующей больших капиталовложений.

Порядок разработки

Достижение высокой производительности и надежности проекта на базе ПЛИС с архитектурой FPGA основывается на следующих подходах.
1. Широкое использование аппаратных ядер, таких как блочная память, аппаратные умножители и блоки «умножение с накоплением», сериализаторы/десериализаторы и др.
2. Использование синхронного подхода к описанию проектов, что хорошо соответствует архитектуре FPGA, выполняемых по технологическим нормам 90 нм и менее.
3. Настройка параметров синтеза и трассировки в САПР с учетом желаемых характеристик проекта.
Аппаратные ядра играют все большую роль в FPGA. Их главным достоинством является то, что и по производительности, и по стоимости они полностью соответствуют аналогичным блокам, выполняемым по такой же технологии в ASIC/ASSP. В то же время проект в ПЛИС, интенсивно использующий аппаратные блоки, может реализовать архитектуру и функциональность, существенно отличающуюся от стандартных микросхем, и, таким образом, разработчик сможет обеспечить характеристики своего изделия, отличающиеся в лучшую сторону за счет принятых им архитектурных решений. В первую очередь, в этой связи можно привести в пример блоки цифровой обработки XtremeDSP и аппаратные скоростные приемопередатчики MGT. Имея возможность изменять схему изделия в процессе проектирования, разработчик может опробовать самые разные варианты построения параллельных схем обработки сигналов (для чего полезны независимые блоки XtremeDSP, которые в семействе Virtex-6 SXT размещены в количестве до 2016) и коммуникационные устройства. Например, ПЛИС семейства Virtex-6 HXT, имея до 64 аппаратных приемопередатчиков, позволяют реализовать такие системы, для которых в настоящее время не существует стандартных решений.
Особенностью цифровых систем, выполняющихся с нормами 90 нм и менее, является сильная ориентация на полностью синхронные процессы. Это связано с тем, что периоды тактовых сигналов становятся сопоставимы с временами распространения сигналов по кристаллу, что приводит к нестабильной работе проекта при появлении т.н. «гонок фронтов». Для ликвидации подобных негативных эффектов в цифровые системы, в т.ч. ПЛИС, вводят специальные цепи синхронизации, распространяющие тактовые сигналы по всем модулям микросхемы.
Для синхронного проекта наблюдаются следующие свойства:
– число тактовых цепей в проекте минимально, в идеальном варианте все модули используют один тактовый сигнал, формируемый аппаратным модулем FPGA (DCM, CMT, MMCM или иным, специфичным для данного семейства);
– все модули используют синхронный сброс;
– все модули используют только один перепад тактового сигнала (как правило, фронт);
– используются триггеры, а не защелки;
– при наличии нескольких тактовых сигналов переход данных от одного тактового домена к другому осуществляется через специальные схемы синхронизации;
– выводы FPGA являются выходами регистра, входные сигналы записываются в регистры насколько возможно быстро;
– критичные цепи конвейеризованы.
Приведенные рекомендации не являются исчерпывающими, однако позволяют рассчитывать на формирование хорошей основы для последующего получения надежно функционирующей схемы.
Наконец, корректная настройка САПР является мощным инструментом достижения качественных показателей изделия. Следует заметить, что с возрастанием логических объемов и сложности современных FPGA уже недостаточно обеспечить корректную электрическую схему для разрабатываемого изделия. В зависимости от настроек алгоритмов синтеза и трассировки, результаты могут существенно различаться. Для разработчика интерес представляют отличия в частоте, перекрывающие один класс скорости (speed grade) или более, поскольку для компенсации такого отличия придется переходить к следующему, более дорогому, классу скорости. В то же время, характеристики многих проектов на базе ПЛИС можно существенно улучшить путем выбора правильных настроек САПР, а также при использовании дополнительных средств проектирования на уровне топологии кристалла.
Для больших проектов эффективным способом повышения их производительности является разбиение проекта на субмодули с полуавтоматическим размещением их на кристалле. Для этого используется инструмент PlanAhead, который ранее представлял собой отдельно приобретаемый продукт, а начиная с актуальной на сегодня версии САПР ISE 11.x он интегрирован в маршрут проектирования. PlanAhead представляет собой графический редактор размещения субмодулей на кристалле ПЛИС, требующий определенной квалификации и понимания происходящих процессов, однако позволяющий устранять снижение производительности, вызванное неэффективной «стратегической» работой автоматических алгоритмов. В практике преподавания автором учебных курсов по программам Xilinx имеются примеры, когда последовательное применение рекомендаций по проектированию цифровых систем повышало тактовую частоту проектов до 3—5 раз. Разумеется, в данном случае речь идет не о повышении частоты «сверх допустимых пределов», а лишь об устранении неэффективных технических решений. Вопросы, связанные с эффективным проектированием на базе ПЛИС, подробно рассмотрены в учебных курсах Xilinx, читаемых в авторизованном учебном центре компании «Инлайн Груп», и, в силу большого объема материала, не могут быть сколько-нибудь подробно освещены в рамках журнальной публикации.
В целом можно отметить, что эффективное проектирование современных цифровых систем на базе ПЛИС является достаточно ответственной задачей, где результаты существенно зависят от квалификации разработчика и степени освоения им элементной базы и средств проектирования. С другой стороны, такое положение дел может являться основой для получения конкурентного преимущества теми коллективами, которые соответствующими навыками обладают.

Оставьте отзыв

Ваш емейл адрес не будет опубликован. Обязательные поля отмечены *