Полупроводниковая микроэлектроника – 2022 г.
Часть 1. Оптимизм роста сменился падением мирового рынка и неопределенностью


PDF версия

Мировая полупроводниковая отрасль пошла в уверенный рост в начале 2022 г., который сменился в середине года падением рынка многих электронных товаров, связанным с осложнением мировой политической ситуации. Мировая экономика из-за ковидной эпидемии и политической напряженности вошла в рецессию, подогреваемую ростом санкционных ограничений США в отношении Китая в сегментах hi-tech, в т. ч. в электронной промышленности. Крупные мировые компании сокращают инвестиции в капитальное строительство и новые производства, а находящаяся в кризисе Intel экономит и сокращает персонал. Мир готовится к очередному экономическому кризису, но работы по полупроводниковым технологиям 1–2 нм продолжаются.

Мировые конфликты дестабилизируют и корректируют рынок полупроводниковой микроэлектроники

Дмитрий Боднарь, к. т. н., генеральный директор, АО «Синтез Микроэлектроника»
Дмитрий Боднарь, к. т. н.,
генеральный директор, АО «Синтез Микроэлектроника»

Оценивая итоги прошлого года, автор этой статьи отмечал, что 2021 г. оказался беспрецедентным для мировой полупроводниковой микроэлектроники и щедрым на разные события, самым серьезным образом отразившиеся на итогах года [1]. Опустошение складов производителей и потребителей электронных компонентов во время мировой пандемии COVID-19, резко возросшая потребность в них после возобновления работы и возникший ажиотажный спрос создали в 2021 г. небывалый дефицит электронных компонентов, крайне отрицательно сказавшийся на многих отраслях мировой экономики и, в первую очередь, на автомобильной промышленности.

Мировая полупроводниковая промышленность не справилась с возросшими заказами, которые привели не только к положительным, но и отрицательным последствиям. К положительным следует отнести увеличение объемов производства и поставок компонентов, материалов, оборудования, строительство и запуск новых заводов, а к отрицательным – большое увеличение сроков поставки, рост цен, отказы и введение предоплаты за заказы, что прежде в таких масштабах не наблюдалось.

Мировые поставки в 2021 г. на фоне дефицита полупроводников стремительно выросли на 25,1% относительно 2020 г. во всех сегментах изделий и регионах, и впервые в истории отрасли превзошли рубеж в 500 млрд долл. Прогнозы мирового полупроводникового рынка на ближайшие пять лет казались положительными и оптимистичными. Некоторые компании ожидали, что рынок достигнет к 2026 г. невероятных показателей в 778 млрд долл.

Однако по другим, менее оптимистичным прогнозам, в 2023–2024 гг. ожидалось перепроизводство, затоваривание складов и падение мирового полупроводникового рынка. Но это не должно было отразиться на снижении объемов рынка контрактных услуг и изделий, произведенной по суперсовременным технологиям 3–5–7–10 нм, из-за отсутствия достаточных конкурентных предложений от ограниченного количества таких компаний на рынке. Рост мировой инфляции, спровоцированный мировой эпидемией COVID-19 и дефицитом, затронул все отрасли и привел к увеличению цен на товары, которое должно было продлиться и в следующем году.

Однако реалии 2022 г. в дополнение к оправдавшимся прогнозам по перепроизводству оказались в значительной мере еще и политически инфицированными, что привело мировую экономику к началу рецессии, падению рынка практически по всем категориям электроники. Существенную роль в этих негативных тенденциях сыграло начало военного конфликта России и Украины, и усиление санкционной войны США против России и Китая, что подталкнуло мировую экономику к рецессии [2].

Еще в середине 2022 г. компания WSTS ожидала в этом году рост мировой полупроводниковой отрасли на 16,3% до 646,4 млрд долл., а в 2023 г. – на 5,1% до 679,6 млрд долл. [3], а компания IDC прогнозировала в 2022 г. достижение рубежа 661 млрд долл. и рост на 13,7% [4]. Оптимизм всех этих прогнозов базировался на том, что дефицит электронных компонентов, спровоцированный ковидной эпидемией, начнет ослабевать только во втором полугодии 2022 г., но сроки поставок некоторых компонентов могут вырасти.

Ликвидация дефицита электронных компонентов для автомобильной промышленности, из-за которого мировая экономика и автомобильная отрасль недополучили 210 млрд долл. в 2021 г., прогнозировалась только в 2023 г. Аналитики авторитетной компании Gartner в апреле также прогнозировали мировой рост на 13,6% до 676 млрд долл. [5], но уже в июне снизили прогноз до 7,4% и 2,5% для 2022 и 2023 гг., соответственно [6]. В скорректированном прогнозе Gartner предполагается, что в 2022 г. объем рынка полупроводников достигнет 639,2 млрд долл. В августе WSTS также изменила прогноз роста до 13,9% в 2022 г. и 4,6% – в 2023 г. [7]. Еще менее оптимистичным был прогноз компании IC Insights, скорректировавший рост мировых полупроводников в 2022 г. с 11 до 7% [8].

Итоги 2022 г. будут действительно хуже не только первоначальных прогнозов, но даже некоторых сократившихся показателей. В конце ноября компания IC Insights представила предварительные итоги мирового рынка продаж 2022 г. по ИС, а также оптоэлектронным приборам, сенсорам, датчикам, дискретным электронным компонентам (О-С-Д) и прогноз на 2023 г. (см. рис. 1) [9].

Размеры и динамика изменения мирового полупроводникового рынка за 2016–2023 гг. от компании IC Insights
Рис. 1. Размеры и динамика изменения мирового полупроводникового рынка за 2016–2023 гг. от компании IC Insights

Ожидается, что после роста на 25% до 614,7 млрд долл. в 2021 г. мировые продажи полупроводников вырастут всего на 3% в 2022 г. до 636,0 млрд долл.

Согласно прогнозу, неблагоприятные условия, которые замедлили продажи полупроводников во второй половине 2022 г., сохранятся до первой половины 2023 г. Из-за рецессии мировой экономики, падения спроса на новые корпоративные и персональные компьютеры, а также смартфоны, из-за повышенного объема складских запасов микросхем и сохраняющегося слабого спроса на рынке ИС памяти общее падение продаж полупроводников составит 5% в 2023 г. Объем продаж ИС, по прогнозам, снизится на 6%, в то время как совокупный объем продаж устройств О-С-Д будет несколько выше. IC Insights считает, что после циклического спада в 2023 г. продажи полупроводников восстановятся и в течение трех лет будут расти, а к концу 2026 г. объем продаж полупроводников достигнет 843,6 млрд долл. при среднем темпе роста 6,5%.

В отчете компании WSTS также пересмотрены на снижение ее предыдущие оценки на 2022–2023 гг., и представлены прогнозы продаж в географических регионах мира (см. табл. 1) [10]. Общие мировые продажи полупроводников в 2022 г. вырастут всего на 4,4%, а рекордсменами падения на 12,6% станут микросхемы памяти, из-за чего Азиатско-Тихоокеанский регион как основной их производитель сократит общие продажи на 2%. В 2023 г. падение продаж ИС памяти продолжится и достигнет 17%, а общие продажи полупроводников снизятся на 4,1%.

Таблица 1. Прогноз мирового полупроводникового рынка за период 2021–2023 гг. от компании WSTS

Осень 2022 г.

Сумма, млн долл.

Рост за год, %

2021 г.

2022 г.

2023 г.

2021 г.

2022 г.

2023 г.

Северная и Южная Америка

121481

142138

143278

27,4

17,0

0,8

Европа

47757

53774

54006

27,3

12,6

0,4

Япония

43687

48064

48280

19,8

10,0

0,4

Азиатско-Тихоокеанский регион

342967

336151

311005

26,5

–2,0

–7,5

Итого по мировому рынку, млн долл.

555893

580126

556568

26,2

4,4

–4,1

Дискретные полупроводники

30337

34098

35060

27,4

12,4

2,8

Оптоэлектроника

43404

43777

45381

7,4

0,9

3,7

Сенсоры

19149

22262

23086

28,0

16,3

3,7

Интегральные микросхемы

463002

479988

453041

28,2

3,7

–5,6

Аналоговые ИС

74105

89554

90952

33,1

20,8

1,6

Микропроцессоры

80221

78790

75273

15,1

–1,8

–4,5

Логика

154837

177238

175191

30,8

14,5

–1,2

Память

153838

134407

111624

30,9

–12,6

–17,0

Итого по всем изделиям, млн долл.

555893

580126

556568

26,2

4,4

–4,1

Примечание. Цифры в таблице округлены до полных миллионов долларов США, вследствие чего может возникнуть незначительная разница между строкой «Итого по мировому рынку» и «Итого по изделиям».

Проблемы с падением рынка начались раньше, чем прогнозировали эксперты. Уже во II кв. 2022 г. обвалился рынок компьютеров и твердотельных накопителей (SSD) – их падение составило 15,3% [11]. Еще больше – на 33% – рухнули продажи классических жестких дисков. Падение спроса распространилось также на бытовую технику, что привело к росту складских запасов у дистрибьюторов и снижению заказов на все электронные комплектующие, начиная с микроконтроллеров и заканчивая силовыми дискретными компонентами.

По данным TrendForce, из-за этого загрузка производителей чипов во II кв. снизилась на 3,9% [12]. В первую очередь падение затронуло изделия, выпускаемые по зрелым техпроцессам 110–350 нм на пластинах диаметром 200 мм, которые предназначены для нужд потребительской электроники, где уровень загрузки производственных мощностей составил 90–95% (см. табл. 2). Падение могло быть еще выше, но сохраняющийся дефицит автомобильных электронных компонентов привел к тому, что производители чипов стали переводить освобождающиеся производственные мощности на выпуск автоэлектроники.

Таблица 2. Уровень загрузки производителей чипов во II кв. 2022 г. для разных техпроцессов

Диаметр пластин

Проектные нормы

Основные изделия

Загрузка во II кв. 2022 г.

8 дюймов

0,35–0,11 мкм

драйвер дисплея, датчики изображения, микросхемы управления питанием,
мощные дискретные элементы

90–95%

12 дюймов

90/55 нм

контроллеры сенсорных экранов, датчики изображения, Wi-Fi, микроконтроллеры для управления питанием, контроллеры матрицы

90–99%

40/28 нм

ИС управления питанием дисплея AMOLED, датчики изображения, процессоры обработки изображений, приемопередатчики сигнала 4G, Wi-Fi, микроконтроллеры ТВ, контроллеры матрицы

90–99% с потенциальным риском снижения

1X нм

приемопередатчики сигнала 5G, 4G AP, устройства FPGA, специализированные ИС, Wi-Fi, микроконтроллеры ТВ, микросхемы PCH

95–100%

7–4 нм

центральные и графические процессоры, специализированные ИС, 5G AP, ПЛИС, ИИ-ускорители

95–100%

Это обстоятельство пока в малой степени затрагивает 4–19-нм изделия, имеющие более длинный цикл изготовления и не ориентированные на потребительскую электронику. К тому же, компаний, специализирующихся на продукции, которая выпускается по этим техпроцессам, значительно меньше, чем по 110–350 нм. В целом, мировая выручка от продаж полупроводников во II кв. снизилась на 1,9% с 161,2 млрд долл. в I кв. до 158,1 млрд долл. [13]. На рынке полупроводников наблюдался исключительный период последовательного роста выручки в период пандемии, начавшейся в 2020 г. и продолжавшейся восемь кварталов подряд. В 2022 г. этот рост стал сокращаться в течение двух кварталов подряд. Выручка от продаж полупроводников в III кв. 2022 г. составила 147 млрд долл., что на 7% меньше, чем в предыдущем квартале. Прежде в течение 20 лет с 2002 по 2021 гг. среднеквартальный рост в III кв. был положительным и составлял 8% [14].

Глава Future Horizon Малкольм Пенн (Malcolm Penn) считает, что рост выручки в 2022 г. составит всего 4%, а в 2023 г. мировой рынок чипов уйдет в глубокий минус на 23%. В 2023 г. продажи микросхем рухнут почти на четверть, и объем рынка вернется к отметке в 450 млрд долл. [15]. Пенн напомнил, что для рынка чипов характерна цикличность, и уже в 2024 г. начнется новый период роста.

По данным Gartner, в III кв. 2022 г. падение продаж ПК продолжилось и в сравнении с III кв. 2021 г. составило 19,5%, являясь самым резким за всю историю наблюдений с середины 1990-х гг. [16]. Всему виной – состояние общемировой экономики и актуальные геополитические события, а не проблемы с цепочками поставок, которые появились в 2020–2021 гг. из-за пандемии. Во всем мире спрос на компьютеры резко упал, поскольку люди вышли на работу и им больше не нужно покупать ПК для домашнего офиса.

Мировая инфляция и рост цен на технику тоже охлаждают потребительский спрос. Южнокорейская SK hynix заявила, что наблюдается беспрецедентное ухудшение на рынке полупроводников, т. к. бизнес страдает от роста неопределенности. В III кв. прибыль SK hynix рухнула на 67% (до 767 млн долл.), а общая выручка упала на 7% (до 7,6 млрд долл.) [17]. На этом фоне SK hynix решила сократить в 2023 г. свои инвестиции на 50%, а также задумалась о продаже своих предприятий на территории Китая, что обусловлено санкциями США к Китаю и требованием США получить лицензии на продукты, изготовленные по американским технологиям.

Рынкам гаджетов и носимой электроники эксперты предсказывают рецессию в течение 2022–2023 гг., связанную с падением потребительского спроса, инфляцией и ростом цен на предметы первой необходимости, к которым такая электроника не относится [18].

В сентябре текущего года всемирная ассоциация SEMI оптимистично прогнозировала достижение в 2022 г. рекордного уровня капитальных затрат в 99 млрд долл. на производственное оборудование с ростом на 9% относительно предыдущего рекордного 2021 г. [19]. Однако создается впечатление, что SEMI строила этот прогноз по инерционному сценарию первого полугодия, не до конца учитывая начавшийся спад мирового рынка. В IV кв. практически все крупные компании стали корректировать планирующиеся капитальные расходы на 2022–223 гг. в сторону сокращения, что, в первую очередь, отразится на закупке оборудования.

Некоторые аналитики считают, что глобальные поставщики полупроводников и оборудования потеряют миллиарды долларов в результате гигантского падения китайского спроса. Риск сокращения поставок оборудования для производства пластин из-за санкций США составит около 8 млрд долл., или 8% среднего годового прогноза по производству оборудования для пластин за 2022–2025 гг. [20]. Но негативные последствия уже проявляются. Производитель оборудования из США Applied Materials сообщил, что снижает свои оценки продаж в IV кв. 2022 г. примерно на 400 млн долл., указав на ограничения США как на ключевой фактор [21].

Корпорация Lam Research подсчитала, что потери продаж в Китае составят 2,5 млрд долл. [22]. Американская компания KLA сообщила, что прекращает поставки оборудования в Китай уже с середины октября 2022 г. Китайский рынок является крупнейшим для нее, оценивается в 2,66 млрд долл. и соответствует примерно 30% совокупной выручки за прошлый 2021 г. [23]. Введенный США разрешительный лицензионный порядок поставок полупроводников и работы в Китае обойдутся мировой промышленности почти в 10 млрд долл. в течение следующих трех лет из-за потери продаж товаров и услуг, предоставляемых Поднебесной.

Очевидно, что все действия по ограничению полупроводниковой отрасли Китая, являющейся системообразующей для всей китайской экономики, очень серьезно подрывают не только китайскую, но и мировую экономику во время начавшейся рецессии. В конечном итоге бенефициаром такой политики могут стать только США, переместившие мировой центр производства по суперсовременным технологиям в свою страну, ослабившие Китай и использующие преимущества сильного доллара как мировой валюты. Все остальные страны проиграют. Однако введенные ограничения бумерангом ударят по американским и мировым компаниям. Кроме того, не все мелкие и средние компании смогут пережить эти санкции.

 

США стремятся к решающему перелому в полупроводниковой cуверенизации и сдерживании Китая

9 августа 2022 г. администрация США подписанием президентом страны «Закона о чипах и науке» (The CHIPS and Science Act) завершила формализацию одного из самых важных шагов для своей полупроводниковой промышленности, направленного на восстановление мирового лидерства страны в области производства полупроводниковых чипов [24]. В последние 50 лет США не теряли лидирующих позиций в области передовых исследований по созданию софта для проектирования полупроводников, оборудования для их производства, новых материалов и т. д.

В то же время ведущие роли в технологиях и производстве чипов по техпроцессам 2–10 нм принадлежали азиатским грандам TSMC и Samsung. Еще в период президентства Дональда Трампа были предприняты не очень удачные попытки возврата производств американских компаний из Азии в США. Они были формальными, неэффективными, направленными в большей мере на выполнение предвыборных обещаний и не содержали полноценных стимулов для компаний США для такого решения.

С замедлением ковидной эпидемии администрация Байдена приступила к широкомасштабным и системным санкционным ограничениям Китая, а также к созданию и расширению новых передовых производственных мощностей на территории США. Первым результативным шагом стали удачные переговоры и достигнутые соглашения с тайваньской компанией TSMC по строительству в США фабрик производства чипов по технологиям 3–5 нм. Ее конкурент, южнокорейская компания Samsung, сама приняла решение о строительстве идентичных фабрик в США.

Однако в политическом и экономическом планах решающим для создания суверенных полупроводниковых производств в США является принятый «Закон о чипах». Согласно ему, законодательно закреплена возможность субсидирования американских и зарубежных компаний, создающих новые производственные мощности в США. За последние 30 лет доля США в мировом производстве пластин с чипами снизилась с 40% в 1990 г. до 12% в 2020 г. (см. рис. 2) [25].

Производственные мощности для изготовления пластин (в эквиваленте пластин диаметром 200 мм) по регионам на декабрь 2020 г. Итого: 20814 тыс. пластин/мес.
Рис. 2. Производственные мощности для изготовления пластин (в эквиваленте пластин диаметром 200 мм) по регионам на декабрь 2020 г. Итого: 20814 тыс. пластин/мес.

Поскольку стоимость строительства собственных фабрик в США резко возросла, многие компании отказались от производства в этой стране: они используют чипы, изготовленные на некоторых ведущих кремниевых заводах в Азии, и завершают испытания и сборку либо в своих, либо в других сборочных и испытательных компаниях, тоже находящихся в Азии.

Пользователи самых передовых чипов – Google, Apple и Amazon – почти 90% всех своих новых кристаллов производят на TSMC. Главная цель «Закона о чипах» заключается в новых инвестициях в отечественные производственные мощности. Немедленно после его подписания выделяется 53,7 млрд долл. на разные аспекты производства полупроводников в США. Некоторые из них включают:

  • 39 млрд долл. на оказание финансовой помощи для создания и модернизации внутренней базы по производству полупроводников в США, из которых 6 млрд долл. будут использованы для предоставления кредитов и гарантий по кредитам;
  • 11 млрд долл. в течение следующих пяти лет на поддержку исследований и разработок, а также программ развития рабочей силы, в т. ч. 10 млрд долл. на создание 20 региональных центров технологий и инноваций в США, которые свяжут университетские исследования и разработки с частной промышленностью для продвижения инноваций;
  • 2 млрд долл. для финансирования университетских исследований для Министерства обороны;
  • 200 млн долл. в течение пяти лет для увеличения рабочей силы в области полупроводников в США;
  • стимулы для финансирования внутренней цепочки поставок полупроводников;
  • 1,5 млрд долл. на финансирование цепочки поставок беспроводной связи для инноваций в области мобильного широкополосного доступа;
  • авансовые производственные инвестиционные кредиты в размере 25% на строительство передовых предприятий по выпуску полупроводников;
  • другие средства, направленные Национальному научному фонду на исследования термоядерного синтеза, лазеров, защищенной связи и т. д.

Подписание этого закона сразу запустило конкурс компаний по строительству новых полупроводниковых производств. TSMC и Intel объявили о планах инвестировать 12 и 20 млрд долл. соответственно в строительство новых заводов в США. Компания Micron заявила, что инвестирует 40 млрд долл. в производство микросхем памяти, что, как ожидается, увеличит долю США в производстве этих изделий с 2 до 10% [26]. Запланированные Micron инвестиции позволят создать до 40 тыс. новых рабочих мест в Америке, в т. ч. около 5 тыс. высокооплачиваемых технических и операционных должностей в Micron. В настоящее время компания производит большую часть своей продукции на Тайване и в Китае.

Однако уже в середине ноября Micron заявила, что из-за начавшегося экономического кризиса сократит поставки микросхем памяти и в еще большей мере уменьшит план капитальных расходов, поскольку она пытается избавиться от избыточных запасов из-за падения спроса [27]. Запуск пластин памяти DRAM и NAND сокращается примерно на 20% по сравнению с IV кв., закончившимся 1 сентября. На дневных торгах сразу после этого акции компании упали на 5,8% до 59,44 долл. Micron был первым крупным производителем чипов, который в начале этого года забил тревогу по поводу падения спроса на ПК и смартфоны в связи с инфляцией, которая была самой высокой за последние десятилетия.

Компания Qualcomm обязалась потратить дополнительные 4,2 млрд долл. на закупку чипов от завода GlobalFoundries New York [28]. Другие компании составили планы расширения производства еще до принятия упомянутого закона. Например, Texas Instruments заявила в ноябре 2021 г. о своих планах по расширению производства за счет запуска до четырех заводов стоимостью до 30 млрд долл. в Шермане (шт. Техас). Выпуск продукции на первых двух заводах намечен на 2025 г. [29]. Компания Wolfspeed уже запустила в Нью-Йорке новый завод по производству 200-мм карбидокремниевых пластин [30].

В конце сентября компания Texas Instruments в Ричардсоне (шт. Техас) с опережением графика начала строительство новой фабрики RFAB2 для ежедневного производства 100 млн аналоговых микросхем на 300-мм пластинах, предназначенных для широкого применения, начиная с возобновляемых источников энергии и заканчивая электромобилями [31].

В июле, накануне голосования в Сенате США по «Закону о чипах», руководство южнокорейского концерна SK Group заявило, что намеревается в ближайшие годы выделить до 22 млрд долл. на развитие американской науки и промышленности, из которых 15 млрд долл. будут направлены непосредственно в полупроводниковый сектор США [32].

По неофициальным данным, к 2025 г. SK hynix собирается построить в этой стране предприятие по упаковке и тестированию чипов, а место для него будет выбрано к началу 2023 г. Еще 5 млрд долл. потратят на реализацию нескольких проектов в сфере альтернативной энергетики, в т. ч. на строительство зарядных станций для электромобилей, производство водородного топлива и т. д.

Чуть ранее один из авторов «Закона о чипах» Кит Крач (Keith Krach), бывший заместитель госсекретаря в администрации Трампа, ранее добившийся путем переговоров с TSMC согласия этой компании на строительство завода производства чипов в США, утверждал, что вторым этапом в рамках реализации этого закона должно быть привлечение ведущих азиатских сборочных компаний Amkor, ASE для строительства заводов сборки чипов в США [33]. Очевидно, что решение руководства SK hynix о строительстве сборочной фабрики в США связано с инициативой на опережение и пониманием, что Китай ожидают проблемы в развитии полупроводниковых фабрик по суперсовременным технологиям, а их производство постепенно переместится из Азии в США.

Наиболее показательным примером эффективного действия «Закона о чипах» является решение компании Apple об отказе от некоторых чипов, которые многие годы она заказывала на TSMC, в пользу чипов, которые в 2024 г. будут производиться в шт. Аризоне (США) [34]. И хотя компания, где они будут выпускаться, не называется, очевидно, ею станет строящийся TSMC завод в США. К тому времени ее продукцией будут 5-нм чипы, поскольку в 2024 г. на новом заводе еще не будет освоена 3-нм технология. Apple не исключает также возможности покупки чипов из союзнической Европы – в настоящее время TSMC ведет переговоры с Германией о возможности строительства новой кремниевой фабрики в этом регионе.

Одновременно с созданием стимулов для развития полупроводникового производства на территории США администрация Байдена в «Законе о чипах» предусмотрела широкий ряд серьезных ограничений и запретов для американских и зарубежных компаний в отношении инвестиций в китайскую электронную промышленность, техперевооружения, софта и т. д.

Эти ограничения обязывают все компании, которые претендуют и будут получать субсидии по «Закону о чипах», в течение 10 лет не инвестировать в технологическую модернизацию предприятий на территории Китая по технологиям менее 28 нм, включая собственные заводы этих компаний в Поднебесной. Ограничение потолка в 28 нм распространяется на участие в крупных сделках, связанных с расширением использования такой технологии.

Тем не менее закон предусматривает, что ограничения не помешают получателю субсидий инвестировать в существующие предприятия для поддержания статус-кво или для создания чипов по «устаревшим» технологиям на заводах, производящих продукцию по технормам менее 28 нм. Заметим, что все предыдущие ограничения, принятые правительством США до «Закона о чипах», ограничивались процессами менее 14 нм. Нарушители запретов, получившие субсидии по этому закону, должны будут вернуть их в бюджет США. Подобные ограничения затрагивают интересы и американских, и азиатских полупроводниковых грандов.

Компания Samsung Electronics вложила значительные средства в два завода по производству NAND-памяти в Сиане (Китай), где выпускаются передовые изделия 3D NAND. На заводы южнокорейской компании в Сиане приходится более 40% общего объема производства флэш-памяти NAND от Samsung. Компания SK hynix согласилась заплатить 9 млрд долл. в 2020 г. за приобретение бизнеса Intel NAND, включая завод Intel в Даляне (бренд Solidigm). У SK hynix также имеется завод в Вукси. До продажи завода в Даляне компания Intel управляла только комплексом сборки и тестирования в Чэнду (Китай). Таким образом, это предприятие может подпасть под ограничения нового закона.

У TSMC имеются два завода в Китае. На заводе в Нанкине производятся 16- и 28-нм чипы. Следовательно, если TSMC планирует расширять или развивать производственный процесс в Нанкине, она может столкнуться с ограничениями «Закона о чипах». В силу недавно заявленных исключений относительно 14-нм продукции можно предположить, что в соответствии с законом TSMC может производить кристаллы по 16-нм техпроцессу в Нанкине. В США действуют довольно строгие правила экспортного контроля в отношении Китая. TSMC проделала большую работу, соблюдая правила экспортного контроля США, поддерживая очень строгую политику и программу коммерческой тайны в Китае.

Таким образом, если какая-нибудь из этих или других иностранных полупроводниковых компаний, работающих в Китае, решит не принимать те или иные стимулы «Закона о чипах», ограничения применяться не будут, но будут действовать другие правила экспортного контроля. В будущем могут возникнуть антикитайские ограничения, с которыми придется бороться. Принятый вариант закона намеренно имеет некоторые расплывчатые формулировки, чтобы обеспечить соблюдение ограничений большей гибкостью в толковании, а при необходимости в некоторых случаях закон может дополняться экспортными ограничениями, к которым правительство США прибегает очень часто и эффективно. По большому счету, это означает не только повышение технологического потолка, но и разрешительный порядок для инвестиций в Китай даже по зрелым техпроцессам. Проблематичным выглядит соблюдение 10-летнего срока.

США не ограничились только этими способами сдерживания Китая. По инициативе Госдепартамента предпринята попытка создания альянса Chip 4 из четырех главных мировых стран–лидеров полупроводникового производства – США, Тайваня, Южной Кореи, Японии, но без Китая [35]. Одной из формальный целей альянса является укрепление цепочек взаимной поставки полупроводниковой продукции, усложнившейся во время пандемии, но главным является сдерживание развития Китая.

Тайвань заинтересован в этом альянсе и в укреплении союза с США на фоне усиления риторики о захвате острова Китаем. Япония, в последние годы значительно отставшая по уровню передовых технологий, может сократить отставание за счет преференций от США. В то же время Южная Корея опасается возможной торговой войны с Китаем и боится, что партнерство нанесет ущерб бизнесу компаний Samsung и SK hynix, крупнейших мировых производителей ИС памяти, которые рассчитывают на Китай в плане продаж и производства. И хотя Южная Корея все-таки дала формальное согласие на присоединение, судьба альянса, методы его работы и эффективность пока непонятны.

Опасаясь китайской экспансии и покупки национальных полупроводниковых активов и фабрик, европейские страны по примеру и под воздействием США стали ограничивать уже согласованную покупку китайцами предприятий в Европе. Правительство Великобритании приостановило продажу кремниевой фабрики Newport Wafer Fab опосредованно принадлежащей китайцам компании, а правительство Германии заблокировало продажу производителя автоэлектронных компонентов Elmos с ее 200-мм фабрикой компании Silex Microsystems, хотя и зарегистрированной в Европе, но связанной с Китаем [36–37]. Еще в 2015 г. шведского производителя MEMS Silex Microelectronics приобрела китайская компания Nav Technology Co Ltd.

До 2022 г. Китай, в отличие от России, не доводил конфликт с США до невозвратной эскалации, а старался путем переговоров минимизировать убытки и договариваться с США. Однако увеличение президентского срока Си Цзиньпина, подавление внутренней проамериканской оппозиции и последние неоднозначные решения съезда Компартии Китая, включая возможность присоединения Тайваня военным путем, оставляют открытым вопрос о том, каким будет политический ответ Китая на действия США.

Не исключен переход противостояния с Тайванем в военную плоскость. Тогда для мировой экономики и электронной промышленности все будет в несколько раз хуже самых мрачных прогнозов. Реакция рынка ценных бумаг китайских компаний, торговавшихся на американской и гонконгской биржах на следующий день после окончания съезда, была очень нервной. Гонконгский фондовый индекс Hang Seng снизился на 6,4%, а биржевой фонд The Invesco Golden Dragon China ETF, который отслеживает индекс Nasdaq Goldman Dragon China, рухнул на 14,5% до минимального уровня с 2009 г., теряя в моменте 20% [38]. В тот день иностранные инвесторы продали акции китайских компаний класса А на 17,9 млрд юаней (2,5 млрд долл.). По данным Bloomberg, это рекордное значение с 2014 г., когда был установлен торговый линк между Шанхаем и Гонконгом. То же самое происходило на биржах США, где, например, стоимость бумаг гиганта электронной торговли Alibaba Group Holding Ltd. упала на 14,5% до минимального уровня с февраля 2016 г.

Доли мирового рынка продаж ИС в 2021 г. для компаний полного цикла (IDM) и компаний без фабрик в зависимости от их национальной прописки
Рис. 3. Доли мирового рынка продаж ИС в 2021 г. для компаний полного цикла (IDM) и компаний без фабрик в зависимости от их национальной прописки

Чтобы оценить степень зависимости китайской электронной промышленности и ее возможную уязвимость от США и ее союзников, достаточно оценить результаты исследований компании IC Insights. Американские компании со штаб-квартирой в США в 2021 г. захватили 54% всего рынка интегральных схем, причем компании США без собственных фабрик производства чипов владели 68%, а IDM-компании – 47% (см. рис. 3) [39] этого рынка. На долю китайских компаний со штаб-квартирой в Китае приходится только 4% мировых продаж ИС (в статистику не включены контрактные фаундри-компании). Из произведенных в Поднебесной в прошлом году ИС на сумму 31,2 млрд долл. доля компаний со штаб-квартирой в Китае составила 12,3 млрд долл. (39,4%), или всего 6,6% от объема рынка страны 186,5 млрд долл. (см. рис. 4) [40]. Остальное произвели TSMC, SK Hynix, Samsung, Intel, UMC и другие иностранные компании, у которых в Китае имеются фабрики по производству пластин для интегральных схем.

Китайский рынок ИС и доли их местного производства в нем в 2010–2026 гг.
Рис. 4. Китайский рынок ИС и доли их местного производства в нем в 2010–2026 гг.

IC Insights также подчеркивает, что следует проводить очень четкое различие между китайским рынком ИС и собственным производством ИС в Китае. Хотя Китай был крупнейшим потребителем интегральных схем с 2005 г., это не обязательно значит, что немедленно последует или когда-либо последует значительный рост производства микросхем в этой стране. Производство интегральных схем в Китае составило 16,7% от его рынка ИС в размере 186,5 млрд долл. в 2021 г. по сравнению с 12,7% десятью годами ранее в 2011 г. Более того, IC Insights прогнозирует, что эта доля увеличится на 4,5% до 21,2% в за период 2021–2026 гг. (в среднем на 0,9% в год).

Заметим, что Китай несколько лет назад поставил задачу к 2025 г. производить в стране более 50% применяемых полупроводников. Очевидно, что эта задача не будет выполнена. Из приведенных показателей понятно, что если конфронтация Китая с США дойдет до невозвратной точки, то действие глобальных санкций нанесет его электронной промышленности и экономике невосполнимый урон. Даже национализация иностранных производств зарубежных компаний, размещенных в Китае, без ноу-хау, софта, материалов и др. существенно не изменит положения дел в его пользу. Едва ли Поднебесная с ее полуторамиллиардным населением захочет следовать логике некоторых: «А зачем нам мир без Китая?»

 

Мировые полупроводниковые компании

TSMC. Тайваньский чиповый гигант продолжает оставаться самой динамичной и быстроразвивающейся мировой полупроводниковой компанией двух последних десятилетий. Учитывая периодические «пробуксовки» и кризисы основных мировых лидеров Intel и Samsung, фабрика TSMC в скором времени, безусловно, станет мировой компанией № 1 по годовому объему продаж полупроводников. Мировой экономический кризис только ускорит это событие. В III кв. текущего года тайваньский гигант уже стал первым, опередив Samsung и Intel [41].

Правильно выбранная и реализующаяся долгосрочная стратегия технологического и экономического развития, часто опережающая запросы заказчиков, позволяет компании расти даже в условиях экономических кризисов, что и наблюдается в настоящее время. В 2021 г. TSMC, в отличие от Samsung, при выборе 3-нм техпроцесса не стала переходить на новую технологию с круговым затвором GAAFET, а воспользовалась старой архитектурой FinFET [42].

Разработку и внедрение техпроцесса с GAAFET было решено начинать с 2 нм, что вызвало много вопросов у экспертов о возможности технологического опережения ее основным конкурентом южнокорейской Samsung, как раз сделавшей ставку на GAAFET для 3-нм технологии. По итогам 2022 г. еще будет сложно сделать вывод, оказалась ли эта тактика TSMC оправданной, поскольку массовое производство по 3-нм технологии в TSMC и Samsung (FinFET и GAAFET, соответственно) запущено только во 2-м полугодии 2022 г.

Однако пока что можно констатировать, что в рыночном отношении TSMC на текущий момент выигрывает. Apple, AMD, NVIDIA, Intel, основные заказчики продукции по 3-нм технологии, сделали ставку именно на TSMC, а не на Samsung, справедливо полагая, что переход на новую технологию всегда поначалу сопровождается не только временным снижением выхода годных, но и трудностями с адаптацией разработчиков их изделий к новым правилам проектирования и возможным ошибкам. Цена таких возможных ошибок с двух сторон, включающая стоимость фотомасок, пилотных инженерных партий пластин и потерянного времени, – десятки миллионов долларов.

В рамках 3-нм процесса компания TSMC применяет новый подход под названием FinFlex. Это новое «меню», которое позволяет таким разработчикам чипов как AMD и NVIDIA настраивать свои проекты для повышения производительности в разных областях для 3-нм изделий. TSMC утверждает, что причина предоставления клиентам нескольких вариантов заключается в том, что в этом направлении работы все является компромиссом.

Например, в одном сценарии клиенту может потребоваться абсолютная максимальная тактовая частота и производительность, а в другом сценарии первостепенной задачей может оказаться высокая эффективность. Достичь обеих целей в рамках одного дизайна не просто. Для осуществления этой цели TSMC впервые предложит разные варианты количества ребер из расчета на один транзистор. Всего TSMC предложит четыре версии своей технологии N3: исходный 3-нм техпроцесс и три варианта, повышающие либо производительность, либо эффективность, либо размер (стоимость). Все четыре версии технологии TSMC N3 позволяют применить пользовательские конфигурации FinFlex. Варианты на выбор: 3–2 FIN, 2–2 FIN и 2–1 FIN, что позволит компаниям осуществлять точный контроль над конструкцией своих 3-нм изделий.

Стандартное изделие TSMC N3 с конфигурацией FinFlex будет запущено в производство в 2022 г. В дальнейшем ожидается появление вариантов, показанных на рисунке 5 [43]. Каждый из трех вариантов дает разные преимущества. Схема 3–2 обеспечивает высочайший уровень производительности. Конфигурация 2–2 представляет собой компромиссный вариант с улучшениями во всех трех направлениях: эффективность, размер кристалла и производительность. Схема 2–1 является наиболее эффективной.

Модификации 3-нм технологии FinFlex TSMC
Рис. 5. Модификации 3-нм технологии FinFlex TSMC

Клиент может смешивать и сочетать разные типы ребер на одном и том же кристалле. Например, можно использовать несколько блоков 2–2 и один блок 3–2 подобно тому, что Intel называет «гибридным» дизайном. Хотя производство N3 начнется в этом году, варианты появятся в сети в 2023–2024 гг. под названиями N3E, N3P и N3X. N3E обеспечит более высокую производительность по сравнению с N3 и появится через год после N3. После этого дебютируют N3P и N3X, ориентированные на высоковольтные изделия для продукции HPC.

TSMC, похоже, готовится к битве в 2024 и 2025 гг. Ожидается, что именно тогда Intel также откажется от FinFet, перейдя на транзисторы RibbonFET в своем техпроцессе 20A. Стратегия Intel IDM 2.0, озвученная руководством компании, призывает к 2025 г. получить «бесспорное лидерство» в производстве полупроводниковых компонентов. Именно тогда будет развернута технология TSMC N3X, которая является самой мощной итерацией технологии FinFlex.

По неофициальным данным, еще до массового запуска пластин по техпроцессу N3E на стадии отработки TSMC удалось добиться высокого выхода годных статической оперативной памяти SRAM (около 80%), логических микросхем (80%) и годных кольцевых генераторов с подтвержденным ресурсом (92%) [44].

TSMC всегда отличалась не только высокоэффективными исследованиями в разработке новых и перспективных технологий, но и своевременной подготовкой новых производств для них. Тайваньский гигант сообщил, что новое предприятие по производству 1-нм чипов будет построено в индустриальном парке в районе Лунтань, где у TSMC уже имеются два завода по сборке и тестированию микросхем.

По результатам опубликованных исследований компании удалось совершить технологический прорыв в этой технологии. Летом текущего года были обнародованы результаты сотрудничества TSMC с Массачусетским технологическим институтом (MIT) и Национальным университетом Тайваня (NTU) по созданию 1-нм транзистора, металлизации и омических контактов для него, представляющих серьезную проблему из-за геометрических ограничений при столь малых размерах [45]. Прорыв связан с новым набором материалов, которые могут создавать однослойные или двумерные (2D) транзисторы в чипе для масштабирования общей плотности с коэффициентом, соответствующим количеству слоев. Команды из TSMC и MIT продемонстрировали низкоомные омические контакты с существующими полупроводниковыми материалами, включая дисульфид молибдена (MoS2), дисульфид вольфрама (WS2) и диселенид вольфрама (WSe2).

В III кв. компании удалось оправдать собственные прогнозы по выручке, которая выросла в годовом сравнении на 36% до 20,23 млрд долл. во многом благодаря продукции по 5-нм процессу, доля которой в совокупной выручке выросла с 21 сразу до 28% [46]. Чистая прибыль при этом увеличилась на 80% до 8,8 млрд долл., но размеры капитальных затрат на текущий год планируется сократить с 40 до 36 млрд долл. в связи с сокращением рынка. В целом, выпуск продукции по нормам менее 8 нм составил 54% в объеме выручки.

Samsung. Многопрофильный южнокорейский гигант уже несколько лет демонстрирует стремление не только быть мировым лидером по продажам полупроводников, что ему периодически удается, отодвинув на вторую строчку слабеющую корпорацию Intel, но и опередить TSMC по самым передовым технологиям, о чем пока говорить рано. Некоторые эксперты выражают обоснованные сомнения в том, что Samsung в обозримом времени удастся превзойти TSMC по рыночным показателям отдачи от новых технологий. Любопытную аргументацию недостижимости этой цели приводит редактор журнала Active and Passive Electronic Devices Роберт Кастеллано (Robert Castellano) [47]:

  • общая мощность TSMC по всем техпроцессам в 2,3 раза больше, чем у Samsung, и у нее 2,5-кратное преимущество в доходах по этому профилю (см. табл. 3).
  • производственные мощности TSMC в 7-нм процессе в 3,5 раза больше, а в 5-нм – в 4 раза превышают показатель Samsung;
  • производственные мощности TSMC были примерно в 5–6 раз выше в 7-нм процессе в 2019–2020 гг. и в 3,5 раза – в 2021 г. В 5-нм процессе емкость TSMC примерно в четыре раза больше (см. табл. 4);
  • TSMC обслуживает более 460 клиентов, которые являются ведущими мировыми компаниями, занимающимися беспроводными технологиями. К этим компаниям относятся Apple, Broadcom, HiSilicon, AMD, MediaTek, NVIDIA, Qualcomm и Intel (см. табл. 5). В 2020 г. Samsung использовала 60% своего чипового производства для внутреннего использования, в первую очередь для чипа Exynos для своих смартфонов. Оставшаяся часть мощностей была получена от независимых клиентов – Qualcomm (20%), а другие 20% были разделены между NVIDIA, IBM и Intel.
Таблица 3. Сравнение производственных мощностей пластин Samsung и TSMC в 2016–2021 гг. по всем техпроцессам (тыс. шт. пластин ежемесячно)

 

2016 г.

2017 г.

2018 г.

2019 г.

2020 г.

2021 г.

TSMC

783

861

927

932

977

1023

Samsung Foundry

237

297

321

333

376

443

Соотношение TSMC/Samsung

3,3

2,9

2,9

2,8

2,6

2,3

Таблица 4. Сравнение производственных мощностей Samsung и TSMC по техпроцессам 7–5–3 нм (тыс. шт. пластин ежемесячно)

TSMC

2019 г.

2020 г.

2021 г.

7 нм EUV

105

140

140

5 нм

 

90

120

3 нм

 

 

30

Samsung

2019 г.

2020 г.

2021 г.

7 нм EUV

20

25

40

5 нм

 

5

35

Отставание в технологии EUV стало причиной того, что TSMC опередила Samsung на одно поколение. В течение 2020 г. Samsung приобрела в общей сложности 25 систем литографии EUV, а TSMC – около 50, что ограничит клиентскую базу Samsung, поскольку рост ее производственных мощностей будет сдерживаться отсутствием EUV-систем и необходимостью резервирования мощностей для производства своего процессора Exynos. Samsung будет постепенно сокращать технологический разрыв, переходя на передовые архитектуры, включая GAA и MBCFET на 3 нм с возможностью перехода клиентов на Samsung при наличии свободных мощностей. Но разрыв в мощности сохранится.

В июле Samsung сообщила о начале поставки первых в мире чипов, изготовленных по процессу 3 нм [48]. Первым клиентом Samsung стала китайская компания PanSemi, специализирующаяся на оборудовании для майнинга криптовалюты. Как заявил представитель Samsung, у новых 3-нм чипсетов для майнингового оборудования на 23–45% более выгодное отношение вычислительной мощи к энергозатратам в сравнении с показателями чипсетов, произведенных с помощью более зрелых техпроцессов.

В январе 2021 г. появилось сообщение о возникновении у Samsung непредвиденных проблем с разработкой 3-нм техпроцесса. Подробности об их характере не раскрывались, однако стало известно, что затруднения возникли не собственно с разработкой GAA, а с сопутствующим «ключевым узким местом» технологии. По неофициальным сведениям, имеются также проблемы с выходом годных чипов.

В середине марта руководству Samsung уже пришлось отвечать на вопрос о причинах высокого уровня брака среди 5-нм чипов, но существовавшее положение дел было признано неизбежным и поправимым [49]. Однако компанию Qualcomm, которая ранее приняла решение о размещении заказов на процессоры Snapdragon в Samsung, такое объяснение не удовлетворило, и было принято решение о переносе в TSMC производства не только 5-нм, но и 7-нм чипов. По неофициальным данным, Samsung предлагала Qualcomm уровень выхода годных 5- и 4-нм процессоров в диапазоне 35–50%, и это явно не могло устроить американского клиента с учетом того, что аналогичный показатель TSMC при подготовке и запуске 5- и 3-нм производства составил 80% (см. рис. 6).

Выход годных для процессов N3E, N5 компании TSMC на разных стадиях подготовки до начала запуска производства
Рис. 6. Выход годных для процессов N3E, N5 компании TSMC на разных стадиях подготовки до начала запуска производства

Возможно, из-за этого Qualcomm решила также передать заказы на выпуск своих первых 3-нм процессоров компании TSMC. По неофициальной информации, решение напрямую связано с проблемами в освоении топологии, которые обернулись высоким процентом брака на тестовом производстве. NVIDIA также решила воспользоваться услугами TSMC для выпуска следующей линейки 5-нм графических процессоров RTX40 и даже внесла предоплату. Но во время брифинга в октябре представители Samsung сообщили, что Qualcomm находится среди клиентов компании, не уточнив заказываемую продукцию.

Следует учесть, что Samsung не является чистой фаундри-компанией и, в отличие от TSMC с ее более чем 20-летней историей, не обладает таким уникальным опытом ведения этого бизнеса и его экономики, а значит, будет проигрывать в выходе годных тайваньской компании. При прочих равных параметрах этот проигрыш будет определяющим аргументом.

Следующий 2023 г. должен дать ответ на главный вопрос: удастся ли Samsung извлечь пользу из рискованного решения сделать ставку на новую технологию GAA на 3-нм процессе и станут ли достигнутые преимущества технических параметров чипов по этой технологии в сравнении с FinFlex TSMC достаточным и весомым аргументом для клиентов. Очевидно, что без решения проблемы повышения выхода годных результат будет отрицательным.

Тем временем Samsung обнародовала планы по запуску 2-нм процесса в 2025 г. и 1,4-нм процесса в 2027 г., соответственно. Как и геометрия 3-нм техпроцесса, эти новые транзисторы будут основаны на архитектуре GAA [50]. Samsung утверждает, что многомостовой полевой транзистор (MBCFET) и его запатентованная технология GAA используют нанолисты с более широкими каналами, что обеспечивает более высокую производительность и большую энергоэффективность, чем технологии GAA, использующие нанопроволоку с более узкими каналами. Это позволяет Samsung регулировать ширину канала нанолиста, чтобы оптимизировать энергопотребление и производительность для выполнения других требований.

Samsung также обнародовала информацию о новой стратегии под названием Shell-First по инвестициям в производственные мощности. Компания заявила, что, в первую очередь, будет строить чистые помещения независимо от рыночных условий, а при наличии свободных помещений производственное оборудование будет устанавливаться позже и гибко настраиваться в соответствии с потребностями производства микросхем. Samsung планирует построить производственную линию Shell-First на своем новом заводе в Тейлоре (шт. Техас), который, как ожидается, начнет крупносерийное производство в 2024 г. с использованием одного из 3-нм процессов.

В октябре появилась информация, что Samsung приостановила строительство полупроводникового завода в Тейлоре. Отсрочка во многом выглядит как реакция на спад на мировом рынке микросхем [51]. Изначально техногигант планировал начать установку производственного оборудования уже в октябре следующего года, но, по данным источников, пришлось отложить начало соответствующих работ до декабря, а при наихудшем сценарии – и до 2024 г. Строительство фабрики P3 в Южной Корее, которое планировалось закончить уже к концу текущего года, похоже, тоже будет идти медленнее, чем ожидалось.

Intel. 2022 г. не стал переломным для некогда безусловного лидера мировой полупроводниковой микроэлектроники. Компания продолжает испытывать кризис, из которого ее не может вывести новый генеральный директор Пит Гелсингер, несмотря на все возлагавшиеся на него надежды. В одной из своих статей об Intel автор настоящей статьи отмечал, что программа преобразований компании от Гелсингера произвела хорошее впечатление и главным являлся вопрос о ее реализации [52].

В пользу стратегии Гелсингера было много факторов, включая высокую техническую компетентность, 30-летний опыт работы в Intel до ухода из компании, намерения по реорганизации подразделений производства чипов и разработки, организация фаундри-бизнеса, кадровое обновление, лоббирование бюджетного финансирования и т. д.

Ключевыми проблемами являлись не только реализация планов, но и восстановление доверия акционеров, утерянного компанией и руководством в последние кризисные для нее годы. Как отмечал автор, проблемой стратегии Гелсингера в отношении Intel могли стать очень высокие запланированные инвестиции в размере сотен млрд долл. для приобретения Tower Semiconductor, строительства новых кремниевых и сборочных фабрик за пределами США. Такие нагрузки на бюджет компании являются проблемными даже в период роста компании, а во время ее кризиса они очень опасны.

Автор задавался риторическим вопросом: не широко ли замахнулся Гелсингер в этих расходах и понравится ли это акционерам? В прошлом году и в начале текущего года еще не было подозрений о возможной рецессии в мировой экономике, и многие успешные крупные компании планировали большие инвестиции в расширение производства, спровоцированные дефицитом полупроводников в ковидный и постковидный период.

Однако они не находились в кризисе, и основные конкуренты Intel (TSMC, Samsung) могли рассчитывать, что даже падение мировой экономики не приведет к резкому снижению контрактного производства пластин по 3–5–7-нм процессам благодаря своему монопольному положению. Широкий ассортимент продукции Intel и отсутствие у нее производства, которое только создавалось, не давали процессорному гиганту таких преимуществ. Начавшаяся рецессия мировой экономики и резкое падение спроса на компьютерную технику, являющуюся основным приложением для продукции Intel, в еще большей мере усложнили положение компании. То, что для конкурентов является временной проблемой, для Intel может стать катастрофой.

Теперь понятно, что компания живет не по средствам и Гелсингеру необходимо было начинать с жесткой бюджетной экономии и снижения себестоимости продукции. III кв. 2022 г. стал для Intel провальным. Выручка компании упала на 15% за год и составила 15,3 млрд долл., а чистая прибыль сократилась на 59% с 5,9 до 2,4 млрд долл. В сегменте компьютерных микросхем падение составило 17%, а в сегменте микросхем для центров обработки данных и искусственного интеллекта, включая серверные процессоры – и вовсе 27% [53].

Аналитики прогнозируют, что выручка Intel за 2022 г. сократится на 20% и составит около 64 млрд долл. Руководство компании уже заявило о необходимости снижения затрат на 8–10 млрд долл. к 2025 г. Однако такая незначительная экономия не решит глобальных проблем компании. Кроме снижения операционных расходов Intel планирует сократить зарплаты и персонал, возможно, подобно тому, как она это сделала в 2016 г., уволив около 12 тыс. человек.

Intel продолжает шаг за шагом уступать на рынке своему главному конкуренту – компании AMD. Как заявил глава Intel в сентябре, компания достигла дна на рынке серверных процессоров [54]. AMD уже 13 кварталов подряд теснит своего конкурента на этом рынке, постепенно увеличивая долю за счет Intel и достигнув 20,2% рынка.

Одним из серьезных спасительных источников пополнения бюджета компании рассматривается получение государственных субсидий по «Закону о чипах», а также по программам разработок и производства продукции для Министерства обороны США. С этой целью по инициативе Intel был создан альянс USMAG (United States Military, Aerospace and Government) с участием нескольких компаний, нацеленный на выпуск продукции для ВПК [55].

Ранее компания GlobalFoundries также подписала контракты и прошла сертификацию на выпуск продукции для Пентагона. До сих пор обе компании не проявляли большого интереса к работе с военными, и ныне происходящее обусловлено не только желанием получить финансирование от Пентагона при падении мирового рынка, но и реакцией на резкое ухудшение геополитической обстановки, а также наращивание военного потенциала США.

По словам президента IFS (Intel Foundry Services) Рандхира Такура (Randhir Thakur), Министерство обороны США занимает первое место среди заказчиков IFS; при этом IFS планирует стать частью современной программы гетерогенной интегрированной сборки (SHIP) Министерства обороны США. Эта программа потребует глубоких знаний технологии Gate-All-Around (GAA), позволяющей создавать 3D-чипы с высокой плотностью транзисторов [56]. IFS заключила с Министерством обороны первоначальный контракт на 250 млн долл. на проектирование и разработку микросхем. Следующим шагом, с гораздо большей и неназванной суммой, будет производство, если IFS сможет соответствовать определенным критериям национальной безопасности. Бизнес Министерства обороны стоит около 3 млрд долл. в год, и IFS рассчитывает получить преимущество перед такими азиатскими конкурентами как TSMC и Samsung. В настоящее время примерно 98% той коммерческой микроэлектроники, от которой зависит Министерство обороны США, собирается и тестируется в Азии, и Министерству обороны необходимо снизить зависимость от зарубежных поставщиков.

IFS, крупнейшими коммерческими клиентами которой являются MediaTek, Amazon и Cisco, за первый год работы с марта 2021 г. получила доход в размере 800 млн долл. Этот объем ничтожен по сравнению с 56,9 млрд долл., которые ведущее производство TSMC заработало в 2021 г. IFS предлагает агрессивную технологическую дорожную карту и обещает заказчикам, занимающимся проектированием микросхем, через несколько лет одни из лучших мировых техпроцессов. IFS предложит технологию Intel 18A (около 2 нм) во второй половине 2024 г., а к настоящему времени предоставила клиентам комплект для проектирования процессов версии 0.9 (PDK) для Intel 16 (между 14 и 22 нм). По словам Такура, это означает готовность процесса к производству. Такур утверждает, что к концу этого года 18A PDK будет иметь версию 0.5, т. е. процесс находится на средней стадии разработки, но вне фазы исследования. При этом открытым остается вопрос, как отра­зится на этих планах не только кризис в Intel, но начинающийся мировой экономический кризис.

Финансовые проблемы в Intel не приходят поодиночке. 15 ноября федеральное жюри в Техасе заявило, что Intel должна выплатить компании VLSI Technology LLC 948,8 млн долл. за нарушение ее патента на компьютерные чипы [57]. В марте прошлого года эта компания уже выиграла у Intel в суде в Техасе иск на 2,2 млрд долл. за нарушение патентных прав, хотя Intel подала апелляцию на принятое судебное решение. И это не последний иск VLSI к компьютерному гиганту. Итогом для Intel могут стать издержки на сумму равную стоимости новой кремниевой фабрики.

В конце ноября появились еще одни плохие новости для Intel. Причиной их появления стало сообщение об отставке главы IFS Рандхира Такура [58] в следующем квартале. По неофициальной информации, его сменит Рассел Элвангер (Russell Ellwanger), генеральный директор недавно купленной Intel израильской компании Tower Semiconductor. В III кв. 2022 г. IFS получила 171 млн долл., или всего примерно 1,1% от выручки Intel в 15,3 млрд долл. Intel также сократила сумму потенциальных выплат по акциям, которые получит Гелсингер согласно контракту по результатам работы компании.

Сокращение выплат по акциям произошло после того, как инвесторы пожаловались на план Intel по увольнениям в течение следующих трех лет. Судя по всему, у Гелсингера пока не сложились отношения со многими инвесторами, недовольными финансовыми показателями компании. А если учесть, что только недавно назначенный и уходящий Рандхир Такур является ставленником Гелсингера, не исключено, что в скором времени инвесторы также предъявят серьезные претензии самому Гелсингеру. Опасения о недовольстве инвесторов, которые автор этой статьи высказывал в конце прошлого года, похоже, начинают сбываться.

ASML. Исключительное положение этой компании из Нидерландов обусловлено ее монополией на рынке производства самого передового литографического оборудования по технологиям менее 14 нм. В III кв. 2022 г. компания отчиталась за объем продаж 8 млрд евро с валовой прибылью 51,8% и прибылью на акцию 4,29 евро, что выше прежнего прогноза. ASML ожидает, что чистая выручка в IV кв. 2022 г. составит 6,1–6,6 млрд евро, а валовая прибыль – около 49%. Ожидаемый объем продаж за весь 2022 г. составит 21,1 млрд евро.

Ожидается, что стоимость быстрых поставок в 2022 г., которая приведет к задержке в подтверждении выручки в 2023 г., составит около 2,2 млрд евро. Быстрый процесс доставки позволяет избежать некоторых испытаний на заводе ASML, а окончательное тестирование и официальная приемка проводятся на объекте заказчика. В результате, согласно ASML, возникает «отсрочка в подтверждении выручки от этих поставок до формальной приемки клиентом». Эта новая форма поставок обусловлена многолетним дефицитом такого оборудования и длинным списком заказчиков, ожидающих своей очереди. Текущий объем заказов составляет 8,9 млрд евро, в т. ч. 77% от производителей логических микросхем, а всего запланировано к поставке 60 установок для EUV- и 375 DUV-литографии.

ASML также обладает иммунитетом от трех неприятных текущих и ожидаемых рыночных катаклизмов: ужесточающихся санкций США к Китаю, прогнозируемого снижения мирового полупроводникового рынка в 2023 г. и циклического падения производства микросхем памяти. Вследствие этих санкций ASML уже не поставляла системы EUV для технологии менее 14 нм в Китай, и доля китайских заказов составила 5%. Если же такие поставки станут невозможными, имеется немало других клиентов, готовых купить соответствующую продукцию. При падении мирового рынка заказчики будут снижать инвестиции в оборудование других типов, но не в системы ASML, учитывая длинный список ожидания.

Руководство ASML сообщило о заказах на EUV-системы с высокой числовой апертурой, востребованные TSMC, Samsung и Intel. При их стоимости свыше 300 млн евро у ASML в ближайшие годы не возникнет опасений за финансовые показатели и выручку.

Однако у ASML имеется одно крайне важное комплектующее устройство, делающее производство EUV-систем зависимым от США. Это технология и источник лазерного света, созданный бывшей компанией Cymer из Сан-Диего. Эта технология для лазеров мощностью 250 кВт, применяемая в EUV-системах, была разработана еще в рамках рейгановской программы звездных войн, многих ученых из которой Cymer приняла на работу. Правительство США дало разрешение ASML на покупку компании Cymer, очевидно установив определенные ограничения по этой технологии, имеющей также важное военное назначение. Отсюда понятно то влияние, которое имеет США на компанию ASML и выпуск ее продукции. Это влияние обусловлено не чрезмерными политическими амбициями США, как считают некоторые, а переданными американскими технологиями и компонентами, без которых работа таких систем невозможна.

В ноябре ASML представила обновленную информацию о расширении производства и планах по выручке до 2030 г. [59]. Компания планирует увеличить годовой объем производства до 90 EUV-систем и 600 DUV-систем к 2025–2026 гг. и до 20 систем с высокой числовой апертурой к 2027–2028 гг. В 2025 г. ожидается годовой доход в 30–40 млрд евро с валовой прибылью 54–56%, а к 2030 г. годовой доход достигнет 44–60 млрд евро с валовой прибылью 56–60%. Этими прогнозами компания лишний раз подтверждает свою устойчивость к колебаниям рынка.

 

Выводы

  1. После стремительного роста мировой полупроводниковой отрасли, выросшей на 25% в 2021 г. и впервые преодолевшей рубеж продаж в 500 млрд долл., прогнозировавшийся в 2022 г. рост на 13–14% в течение года все время корректировался на снижение и достиг 4%.
  2. Основные причины снижения темпов роста – ковидная эпидемия, резкий рост геополитической напряженности в мире, усиливающиеся военные конфликты и санкции США к Китаю, направленные на его экономическое сдерживание и ограничения в получении суперсовременных полупроводниковых технологий.
  3. Мировая экономическая рецессия стала неизбежной, а мировую полупроводниковую микроэлектронику в 2023 г. ожидает спад на 4–5%, который в малой степени затронет производство продукции по технологиям 3–7 нм, доступной только двум мировым компаниям. Далее, согласно прогнозам, мировую отрасль ждет трехлетний циклический рост.
  4. Принятый в США «Закон о чипах» стал наиболее важным в последние десятилетия решением и стимулом, направленным на развитие производства и строительство полупроводниковых предприятий по суперсовременным технологиям на территории США, позволяющим стране повысить суверенизацию производства полупроводников.
  5. США продолжили политику очень эффективных санкционных ограничений по отношению к Китаю через экспортный контроль и выдачу лицензий американским и мировым компаниям, использующим созданные в США технологии, оборудование и софт. Эти меры будут подрывать не только китайскую, но и мировую микроэлектронику, а одним из немногих ее бенефициаров могут стать США.
  6. Учитывая большую зависимость китайской электронной промышленности от США и ее союзников, у Китая нет шансов одержать победу в санкционной войне с США и провести ускоренное импортозамещающее производство сложной полупроводниковой продукции.
  7. 2022 г. еще не дал ответ по технологическому и рыночному противостоянию TSMC и Samsung, выбравших разные архитектуры FinFlex и GAA для техпроцесса 3 нм. В 2023 г. преимущества TSMC в выходе годных делают ее фаворитом по рыночным заказам, но если Samsung решит эту проблему, то более быстрое освоение нанолистовой архитектуры GAA позволит компании обеспечить лучшие параметры микросхем и получить преимущества.
  8. По мнению некоторых экспертов, обладающая значительно большими производственными мощностями во всех типах передовых технологических процессов и опытом фаундри-бизнеса компания TSMC не позволит компании Samsung превзойти себя в технологической гонке.
  9. С учетом стабильной и высокой динамики развития и продуманной стратегии в последнее десятилетие TSMC имеет хорошие шансы в самое ближайшее время стать мировым лидером полупроводниковых продаж.
  10. В компании Intel продолжается кризис, подтвердивший, что компания живет не по средствам. Этот кризис связан не только с падением рынка и мировой рецессией, но и с большими текущими финансовыми затратами, включая расширение производств по всему миру. Ближайшие перспективы компании неутешительные – Intel ждет сокращение персонала и жесткая бюджетная экономия. Разногласия между инвесторами и руководством компании уже привели к увольнению топ-менеджеров и в 2023 г. могут распространиться на самого руководителя Intel.
  11. В связи с ростом мировых военных конфликтов и наращиванием выпуска оружия коммерческие производители чипов и электронных компонентов начинают очень активно работать с военными заказчиками, что гарантирует увеличение военных заказов на длительное время. Это также означает новый этап привлечения современных сложных изделий микроэлектроники и технологий для создания еще более «умного» оружия, по которому отставание России увеличится.
  12. Нехватка на рынке систем для EUV-литографии от монополиста ASML сдерживает наращивание производственных мощностей по выпуску чипов по суперсовременным технологиям 3–10 нм, но гарантирует полную загрузку и отсутствие финансовых проблем у этой компании в ближайшие годы даже в период рецессии мировой экономики.

P. S. Из-за отсутствия и невозможности представления объективных сведений о российской полупроводниковой отрасли в настоящее время автор решил отказаться в данной публикации от этой темы.

Литература

  1. Боднарь Д. Полупроводниковая микроэлектроника – 2021 г. Часть 1. Беспрецедентный год для мировой электроники//Электронные компоненты. 2021. № 12.
  2. Боднарь Д. США усиливают санкционное давление на Китай и подталкивают мировую экономику к рецессии//Электронные компоненты. № 8.
  3. WSTS Semiconductor Market Forecast Spring 2022. June. 07. 2022//www.wsts.org.
  4. Worldwide Semiconductor Market Forecast Update and Final 2021 Market Share. June. 2022//www.idc.com.
  5. Forecast Analysis: Semiconductors and Electronics, Worldwide. April 25. 2022//www.gartner.com.
  6. Semiconductors and Electronics Forecast Database. Worldwide. 2Q22 Update. June 30. 2022//www.gartner.com.
  7. The Worldwide Semiconductor Market Is Expected to Increase 13.9 percent in 2022, Continuing to Grow by 4.6 Percent in 2023. August 22. 2022//www.wsts.org.
  8. Рынок полупроводников на грани крупнейшего за 20 лет обвала. Время электроники. 14.09.2022//www.russianelectronics.ru.
  9. After Record Sales in 2022, Semi Sales Forecast to Fall –5% in 2023. IC Insights. November 28. 2022//www.icinsights.com.
  10. The Worldwide Semiconductor Market is Expected to Slow to 4.4 percent Growth in 2022, Followed by a Decline of 4.1 Percent in 2023. World Semiconductor Trade Statistics (WSTS). November 29. 2022//www.wsts.org.
  11. Фантастический взлет сменился грандиозным падением. Продажи ПК и жестких дисков катастрофически рушатся. 11 июля. 2022//www.cnews.ru.
  12. Order Cancellations Strike, 8-inch Fab Capacity Utilization Rate Declines Most in 2H22, Says TrendForce. TrendForce. July 7. 2022//www.trendforce.com.
  13. The Semiconductor Market’s Slowdown Picks up Speed. Evertiq. September 22. 2022//evertiq.com.
  14. Omdia: Semiconductor Market Declines into Uncharted (Seasonal) Territory. Omdia. November 22. 2022//omdia.tech.informa.com.
  15. Semiconductor Market Heads for Biggest Downturn since 2000. EENews Europe. September. 13. 2022//www.eenewseurope.com.
  16. Gartner Says Worldwide PC Shipments Declined 19.5% in Third Quarter of 2022. Gartner. October 10. 2022//www.gartner.com.
  17. SK hynix Inc. Reports Third Quarter 2022 Results. SK Hynix Inc. October 25. 2022//www.skhynix.com.
  18. Wearables Sales Hit by the Growing Cost of Living. CCS Insight. October 12. 2022//www.ccsinsight.com.
  19. World Fab Forecast. SEMI. September 19. 2022//www.semi.org.
  20.  S. Chip Sanctions ‘Put Temporary Checkmate on China’. EETimes. October 21. 2022//www.eetimes.com.
  21. Applied Materials Revises Fourth Quarter of Fiscal 2022 Business Outlook. Applied Materials, Inc. October 12. 2022//www. appliedmaterials.com.
  22. Lam Research Warns of up to $2.5 bln Revenue Hit from U. S. Curbs on China Exports. Reuters. October 20. 2022//www.reuters.com.
  23. Exclusive: KLA to Stop Sales and Service to China to Comply with U. S. Export Curbs. October 11. 2022//www.reuters.com.
  24. Biden Signs Bill to Boost U. S. Chips, Compete with China. Reuters. August 10. 2022//www.reuters.com.
  25. IC Insights Taiwan Maintains Edge as Largest Base for IC Wafer Capacity. IC Insights, Inc. July 13. 2021//www.icinsights.com.
  26. Micron to Invest $40 Billion in U. S. with Passage of CHIPS Act. EETimes. September 08. 2022//www.eetimes.com.
  27. Micron to Supply Fewer Memory Chips in 2023, Plans Fresh Capex Cuts. Reuters. November 16. 2022//www.reuters.com.
  28. Qualcomm to Spend $4.2 Billion More on Chips from GlobalFoundries. Reuters. August 8. 2022//www.reuters.com.
  29. Texas Instruments to Begin Construction Next Year on New 300-mm Semiconductor Wafer Fabrication Plants. Texas Instruments, Inc. November 17. 2021//www.ti.com.
  30. Wolfspeed Opens the World’s Largest 200mm Silicon Carbide Fab Enabling Highly Anticipated Device Production. Wolfspeed, Inc. April 25. 2022//www.wolfspeed.com.
  31. Texas Instruments Breaks Ground on New 300-mm Semiconductor Wafer Fabrication Plants in Sherman. Texas Instruments, Inc. May 18. 2022//www.ti.com.
  32. Biden Welcomes SK Group Plans to Invest $22 Billion in U. S. High-Tech Sectors. Reuters. July 26. 2022//www.reuters.com.
  33. Architect of CHIPS Act Speaks on Its Impact. EETimes. 01.08.2022//www.eetimes.com.
  34. Apple Prepares to Get Made-in-US Chips in Pivot from Asia. Bloomberg. November 16. 2022//www.bloomberg.com.
  35. In a Switch, South Korea Joining Chip 4 Talks. EETimes. September 30. 2022//www.eetimes.com.
  36. UK Edges Closer to Blocking Chinese Takeover of Chip Plant. Bloomberg. September 2. 2022//www.bloomberg.com.
  37. Germany Blocks Sale of Chip Factory to China over Security Fears. CNN Business. November 10. 2022//www.cnn.com.
  38. Нерезиденты продали в октябре акции китайских компаний на чистые 7,8 млрд долл. – рекорд с марта 2020 г. ProFinance Service. 11.2022//www.profinance.ru.
  39. Chinese Companies Hold Only 4% of Global IC Marketshare. IC Insights, Inc. April 5. 2022//www.icinsights.com.
  40. China-Based IC Production to Represent 21.2% of China IC Market in 2026. IC Insights, Inc. May 18. 2022//www.icinsights.com.
  41. TSMC’s Revenue to Top Samsung’s. Taipei Times. September 09. 2022//www.taipeitimes.com.
  42. Боднарь Д. Полупроводниковая микроэлектроника – 2020 г. Часть 3. Марафонская полупроводниковая гонка к 1 нм//Электронные компоненты. 2021 ю № 2.
  43. TSMC Announces ‘FinFlex’ 3nm Architecture With Variable Configurations. ExtremeTech. June 21. 2022//www.extremetech.com.
  44. Leaked TSMC Slide Shows N3E Yields Progressing Ahead of Plan. Toms Hardware. August 25. 2022//www.tomshardware.com.
  45. TSMC heads below 1nm with 2D transistors at IEDM. EENews Europe. October 18. 2022//www.eenewseurope.com.
  46. TSMC 2022 Q3 Quarterly Results. TSMC. October 13. 2022//tsmc.com.
  47. Samsung Won’t Soon Overtake Taiwan Semiconductor Despite Massive Capex Spend. Seeking Alpha. March 16. 2021//www.seekingalpha.com.
  48. Samsung Electronics Holds Commemorative Ceremony for the First 3nm Mass-Production Shipment. Samsung. July 25. 2022//semiconductor.samsung.com.
  49. Samsung Elec Sees Strong Year for Chip and Components Unit – CEO. Reuters. March 16. 2022//www.reuters.com.
  50. Samsung Electronics Unveils Plans for 1.4nm Process Technology and Investment for Production Capacity at Samsung Foundry Forum 2022. Samsung. October 4. 2022//samsung.com.
  51. Samsung delays completion of P3 foundry fab. THE ELEC, Korea Electronics Industry Media. October 11. 2022//www.thelec.net.
  52. Боднарь Д. Intel выздоравливает?//Электронные компоненты. 2022. № 3.
  53. Гендиректор, обещавший поднять Intel с колен, не справляется. Выручка рухнула, впереди массовые сокращения. CNews. 28 октября 2022//www.cnews.ru.
  54. Intel CEO Expects More Market Share Losses to AMD. EETimes. September 12. 2022//www.eetimes.com.
  55. Intel Foundry Services Forms Alliance to Enable National Security, Government Applications. SemiWiki. October 24. 2022//semiwiki.com.
  56. Intel Wins US Government Advanced Packaging Project. Intel. October 2. 2022//www.intel.com.
  57. Intel hit with $949 mln U. S. Verdict in VLSI Computer Chip Patent Trial. Reuters. November 16. 2022//www.reuters.com.
  58. Head of Intel Foundry Services Resigns just as Chip Biz Gets Going. The Register. November 21. 2022//www.theregister.com.
  59. ASML Provides Updated View on Demand Outlook Capacity Plans and Business Model at Investor Day Meeting. November 10. 2022//www.asml.com.
Оставьте отзыв

Ваш емейл адрес не будет опубликован. Обязательные поля отмечены *